Том 322 № 5 (2013): Управление, вычислительная техника и информатика

Исследование аппаратных реализаций табличного и матричного алгоритмов вычисления CRC32

Приведено описание аппаратных реализаций матричного и табличного алгоритмов вычисления контрольной суммы CRC32 на программируемых логических интегральных схемах Cyclone фирмы Altera макета SDK-6.1. Показаны особенности аппаратной реализации на примере описания блоков вычисления CRC32 и работоспособность спроектированных устройств на конкретных примерах. Проведено исследование алгоритмов на основе сравнения блоков вычисления CRC32 по занимаемым логическим ячейкам и временным задержкам.

Ключевые слова:

контрольные суммы, табличные алгоритмы, матричные алгоритмы, CRC32, аппаратная реализация

Авторы:

Евгений Алексеевич Мыцко

Андрей Николаевич Мальчуков

Скачать bulletin_tpu-2013-322-5-36.pdf